CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DSP ADC

搜索资源列表

  1. dsp-book

    0下载:
  2. 2407原理结构,应用。包括:数字输入输出模块;事件管理器模块;数模转换模块;串行外设接口模块;串行通讯接口模块;局部控制器模块;应用模块-2407 Architecture, Application. Including : digital input-output module; Event Management module; ADC module; Serial Peripheral Interface module; Serial Communication Interface mod
  3. 所属分类:编辑框

    • 发布日期:2008-10-13
    • 文件大小:106535
    • 提供者:宋飞
  1. adc

    0下载:
  2. F2812的在ADC的应用,是一个完整的程序,可供DSP开者借鉴使用!
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:63945
    • 提供者:司凯
  1. TI-dsp-yuanlitu1

    0下载:
  2. DSP的自带ADC校准的问题在这片文章中介绍的非常详细,看了之后一定受益匪浅.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:1961075
    • 提供者:吴晓
  1. dsp2812-ADC

    1下载:
  2. 一个DSP2812的AD采样程序,通过DSP自带的AD端口进行AD转换
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:203434
    • 提供者:pual
  1. spru060d(ADC)

    0下载:
  2. 详细介绍了TMS320F2812芯片的模数转换器,是DSP程序开发人员必须掌握的知识.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:179072
    • 提供者:张祥
  1. TMS320LF2407

    0下载:
  2. 第0章绪论.数字信号处理器(DSP)综述 第1章TMS320LF240X系列DSP概述 第2章系统配置和中断 第3章存储器及I/O空间 第4章时钟和低功耗模式 第5章数字输入输出 第6章事件管理器(EV) 第7章模数转换(ADC)模块 第8章串行通信接口(SCI) 第9章串行外设接口SPI 第10章CAN控制器模块 第11章看门狗(WD)定时器 第12章DSP开发工具与开发环境 第13章DSP程序设计 ————主要内容: (1)DSP C语
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-16
    • 文件大小:4434471
    • 提供者:guxiaobin
  1. TMS320F281XADCCPROGRAM

    0下载:
  2. TMS320F281X DSP ADC程序设计,C语言代码-TMS320F281X DSP ADC programming, C language code
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:156860
    • 提供者:程新国
  1. limingqi_ADC

    0下载:
  2. TI C2000系列DSP的内部ADC的配置与应用。-ADC used in DSP
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:284073
    • 提供者:李名祺
  1. Adc

    0下载:
  2. Initialisation Code for work with ADC module on DSP
  3. 所属分类:Applications

    • 发布日期:2017-04-01
    • 文件大小:609
    • 提供者:Mladen
  1. 5416-ADC

    0下载:
  2. TI DSP 5416 模数转换adc的C语言编程代码-TI DSP 5416 ADC adc code of C language programming
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:11173
    • 提供者:陈洲
  1. 9ADC

    0下载:
  2. dsp金典的ad实验调试,可以使dsp初学者轻松掌握ad模块的工作过程-dsp Jindian the ad experiment debug dsp beginners can easily master the working process ad module
  3. 所属分类:DSP program

    • 发布日期:2017-04-09
    • 文件大小:242084
    • 提供者:zxf
  1. adc_spi

    0下载:
  2. dsp通过SPI接口数据采集 sigma-delta ADC采集程序-dsp through the SPI interface, data acquisition sigma-delta ADC acquisition program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-04
    • 文件大小:8599
    • 提供者:xingtian
  1. lab0305-ADC

    0下载:
  2. DSP 5416的AD采集程序,AD芯片为ADS8320-DSP 5416 AD program of ADS8320
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:20630
    • 提供者:马克
  1. MiniStickexample

    1下载:
  2. 利尔达TMS320F28027MiniStick板子光盘资料,包括说明书,EPWM、ecap、adc、定时器及一个控制LED的源程序,对于学习应用TMS320F28027 DSP很有帮助。-LSD MCU TMS320F28027MiniStick board CD-ROM, including brochures, EPWM, ecap, adc, timers, and a control LED of the source, useful for learning about the ap
  3. 所属分类:DSP program

    • 发布日期:2017-05-17
    • 文件大小:4614513
    • 提供者:张三丰
  1. ADC

    0下载:
  2. freescale DSP 通过编写程序,在调节外接电位器的情况下,经过ADC的转换,控制LED有规律的亮灭。-freescale DSP through the preparation process, in the regulation of the case of an external potentiometer, after ADC conversion, control, regular LED light off.
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:221222
    • 提供者:
  1. adc

    0下载:
  2. 用于dsp编程时的adc口的设置,以便程序能够顺利正确的运行,顺利采集数据并进行转换-For the mouth when programming DSP adc set, while the program to be able smoothly the correct running smoothly and collect the data and for conversion
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:321575
    • 提供者:飞龙
  1. DSP28335 adc EXAMPLE

    0下载:
  2. A code for users who want to use DSP38335'S adc PART
  3. 所属分类:DSP编程

  1. ADC

    0下载:
  2. ADC.SchLib schematic for altium
  3. 所属分类:DSP编程

    • 发布日期:2017-12-31
    • 文件大小:4096
    • 提供者:Ghara
  1. cla_adc

    0下载:
  2. 附件是基于dsp芯片的简单的ADC采样处理程序的例子(the attached document is a simple example, about the ADC convert of TMS320F28035)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-03
    • 文件大小:11264
    • 提供者:lihongfang
  1. ADC

    0下载:
  2. 配置28377ADC模块,同时调用了中断函数,实现赋值给所需要的寄存器(Configure 28377 ADC module)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-10-27
    • 文件大小:1024
    • 提供者:zhao ke
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com